[Oberon] Oberon Digest, Vol 115, Issue 16

Luca Boasso luke.boasso at gmail.com
Sat Dec 21 15:16:47 CET 2013


It's really good to know that those files will be published as well. I'm
really curious about Wirth's talk regarding the system on February. I hope
it will be recorded.
Thank you for your work and to keep alive Oberon's spirit!
Luca
On Dec 21, 2013 6:00 AM, <oberon-request at lists.inf.ethz.ch> wrote:

> Send Oberon mailing list submissions to
>         oberon at lists.inf.ethz.ch
>
> To subscribe or unsubscribe via the World Wide Web, visit
>         https://lists.inf.ethz.ch/mailman/listinfo/oberon
> or, via email, send a message with subject or body 'help' to
>         oberon-request at lists.inf.ethz.ch
>
> You can reach the person managing the list at
>         oberon-owner at lists.inf.ethz.ch
>
> When replying, please edit your Subject line so it is more specific
> than "Re: Contents of Oberon digest..."
>
>
> Today's Topics:
>
>    1. Re: Project Oberon: New Edition (Srinivas Nayak)
>    2. Re: Project Oberon: New Edition (Srinivas Nayak)
>    3. Re: Project Oberon: New Edition - Printed copies (Chris Burrows)
>    4. Re: Project Oberon: New Edition (Douglas G. Danforth)
>    5. Re: Project Oberon: New Edition - Printed copies (Jan Verhoeven)
>    6. Re: Project Oberon: New Edition (Alexey Veselovsky)
>    7. Re: Project Oberon: New Edition (Chris Burrows)
>    8. Re: Project Oberon: New Edition (Simon Forman)
>    9. Re: Project Oberon: New Edition (Alexey Veselovsky)
>   10. Re: Oberon Digest, Vol 115, Issue 15 (Luca Boasso)
>
>
> ----------------------------------------------------------------------
>
> Message: 1
> Date: Fri, 20 Dec 2013 16:31:13 +0530
> From: Srinivas Nayak <sinu.nayak2001 at gmail.com>
> Subject: Re: [Oberon] Project Oberon: New Edition
> To: ETH Oberon and related systems <oberon at lists.inf.ethz.ch>
> Cc: Treutwein Bernhard <Bernhard.Treutwein at Verwaltung.Uni-Muenchen.DE>
> Message-ID: <52B42379.6060209 at gmail.com>
> Content-Type: text/plain; charset=ISO-8859-1; format=flowed
>
> Thanks Bernhard.
>
> I had never expected its revision.
> Thanks to Authors as well.
>
>
> With thanks and best regards,
>
> Yours sincerely,
> Srinivas Nayak
>
> Home: http://www.mathmeth.com/sn/
> Blog: http://srinivas-nayak.blogspot.in/
>
> On 12/20/2013 02:37 PM, Treutwein Bernhard wrote:
> > Xmas surprise: Project Oberon is approaching a new edition, see
> http://www.inf.ethz.ch/personal/wirth/ProjectOberon/index.html
> > especially an update of the hardware FPGA instead of NS32000.
> > --
> >    Bernhard
> >
> > --
> > Oberon at lists.inf.ethz.ch mailing list for ETH Oberon and related systems
> > https://lists.inf.ethz.ch/mailman/listinfo/oberon
> >
>
>
>
> ------------------------------
>
> Message: 2
> Date: Fri, 20 Dec 2013 16:35:48 +0530
> From: Srinivas Nayak <sinu.nayak2001 at gmail.com>
> Subject: Re: [Oberon] Project Oberon: New Edition
> To: ETH Oberon and related systems <oberon at lists.inf.ethz.ch>
> Cc: Treutwein Bernhard <Bernhard.Treutwein at Verwaltung.Uni-Muenchen.DE>
> Message-ID: <52B4248C.7090207 at gmail.com>
> Content-Type: text/plain; charset=ISO-8859-1; format=flowed
>
> Any idea, if it shall be published as a printed book? When?
>
>
> With thanks and best regards,
>
> Yours sincerely,
> Srinivas Nayak
>
> Home: http://www.mathmeth.com/sn/
> Blog: http://srinivas-nayak.blogspot.in/
>
> On 12/20/2013 02:37 PM, Treutwein Bernhard wrote:
> > Xmas surprise: Project Oberon is approaching a new edition, see
> http://www.inf.ethz.ch/personal/wirth/ProjectOberon/index.html
> > especially an update of the hardware FPGA instead of NS32000.
> > --
> >    Bernhard
> >
> > --
> > Oberon at lists.inf.ethz.ch mailing list for ETH Oberon and related systems
> > https://lists.inf.ethz.ch/mailman/listinfo/oberon
> >
>
>
>
> ------------------------------
>
> Message: 3
> Date: Fri, 20 Dec 2013 21:58:35 +1030
> From: "Chris Burrows" <chris at cfbsoftware.com>
> Subject: Re: [Oberon] Project Oberon: New Edition - Printed copies
> To: "'ETH Oberon and related systems'" <oberon at lists.inf.ethz.ch>
> Message-ID: <001201cefd76$a00651a0$e012f4e0$@cfbsoftware.com>
> Content-Type: text/plain;       charset="us-ascii"
>
> Even if a publishing house doesn't take it on, there are now services that
> will produce a perfect-bound printed book from a PDF file for you. E.g.
>
> http://www.print-my-pdf.com
>
> >From the info on their site I estimate it would cost about $25 + shipping
> to
> have a copy of Project Oberon printed. I have been looking for a service
> like this for a while but haven't tried it yet. Can anyone here report any
> good / bad experience with such services?
>
> Regards,
> Chris Burrows
>
> CFB Software
> http://www.astrobe.com
>
>
> > -----Original Message-----
> > From: Srinivas Nayak [mailto:sinu.nayak2001 at gmail.com]
> > Sent: Friday, 20 December 2013 9:36 PM
> > To: ETH Oberon and related systems
> > Cc: Treutwein Bernhard
> > Subject: Re: [Oberon] Project Oberon: New Edition
> >
> > Any idea, if it shall be published as a printed book? When?
> >
> >
> > With thanks and best regards,
> >
> > Yours sincerely,
> > Srinivas Nayak
> >
> > Home: http://www.mathmeth.com/sn/
> > Blog: http://srinivas-nayak.blogspot.in/
> >
> > On 12/20/2013 02:37 PM, Treutwein Bernhard wrote:
> > > Xmas surprise: Project Oberon is approaching a new edition, see
> > > http://www.inf.ethz.ch/personal/wirth/ProjectOberon/index.html
> > > especially an update of the hardware FPGA instead of NS32000.
> > > --
> > >    Bernhard
> > >
> > > --
> > > Oberon at lists.inf.ethz.ch mailing list for ETH Oberon and related
> > > systems https://lists.inf.ethz.ch/mailman/listinfo/oberon
> > >
> >
> > --
> > Oberon at lists.inf.ethz.ch mailing list for ETH Oberon and related systems
> > https://lists.inf.ethz.ch/mailman/listinfo/oberon
>
>
>
>
> ------------------------------
>
> Message: 4
> Date: Fri, 20 Dec 2013 02:48:53 -0800
> From: "Douglas G. Danforth" <danforth at greenwoodfarm.com>
> Subject: Re: [Oberon] Project Oberon: New Edition
> To: ETH Oberon and related systems <oberon at lists.inf.ethz.ch>
> Message-ID: <52B42095.9030005 at greenwoodfarm.com>
> Content-Type: text/plain; charset="iso-8859-1"
>
> Bernhard,
> I am reading the new edition.
> So far I have found 1 typo.
> Could you pass this on to Dr Wirth?
>
> "Significant changes, however, were
> *necessry* mainly in the descriptions of device drivers for keyboard and
> mouse."
>
> -Doug Danforth
>
> On 12/20/2013 1:07 AM, Treutwein Bernhard wrote:
> > Xmas surprise: Project Oberon is approaching a new edition, see
> http://www.inf.ethz.ch/personal/wirth/ProjectOberon/index.html
> > especially an update of the hardware FPGA instead of NS32000.
> > --
> >    Bernhard
> >
> > --
> > Oberon at lists.inf.ethz.ch mailing list for ETH Oberon and related systems
> > https://lists.inf.ethz.ch/mailman/listinfo/oberon
> >
>
> -------------- next part --------------
> An HTML attachment was scrubbed...
> URL:
> https://lists.inf.ethz.ch/pipermail/oberon/attachments/20131220/6d93aaee/attachment-0001.html
>
> ------------------------------
>
> Message: 5
> Date: Fri, 20 Dec 2013 12:51:04 +0100
> From: Jan Verhoeven <jan at verhoeven272.nl>
> Subject: Re: [Oberon] Project Oberon: New Edition - Printed copies
> To: chris at cfbsoftware.com,      ETH Oberon and related systems
>         <oberon at lists.inf.ethz.ch>
> Message-ID: <52B42F28.1060603 at verhoeven272.nl>
> Content-Type: text/plain; charset=ISO-8859-1; format=flowed
>
> Chris Burrows wrote:
> > Even if a publishing house doesn't take it on, there are now services
> that
> > will produce a perfect-bound printed book from a PDF file for you. E.g.
> >
> > http://www.print-my-pdf.com
>
> 220 pages, 12 cent per page. That's close to 25 bucks US.
>
> 220 pages single sided is 110 pages double sided. = 1/5 x 5 = 1 euro paper.
> 220 pages = a few euro's on toner.
>
> Looks like a well spent xmas application!
>
> >
> > >From the info on their site I estimate it would cost about $25 +
> shipping to
> > have a copy of Project Oberon printed. I have been looking for a service
> > like this for a while but haven't tried it yet. Can anyone here report
> any
> > good / bad experience with such services?
> >
> > Regards,
> > Chris Burrows
> >
> > CFB Software
> > http://www.astrobe.com
> >
> >
> >> -----Original Message-----
> >> From: Srinivas Nayak [mailto:sinu.nayak2001 at gmail.com]
> >> Sent: Friday, 20 December 2013 9:36 PM
> >> To: ETH Oberon and related systems
> >> Cc: Treutwein Bernhard
> >> Subject: Re: [Oberon] Project Oberon: New Edition
> >>
> >> Any idea, if it shall be published as a printed book? When?
> >>
> >>
> >> With thanks and best regards,
> >>
> >> Yours sincerely,
> >> Srinivas Nayak
> >>
> >> Home: http://www.mathmeth.com/sn/
> >> Blog: http://srinivas-nayak.blogspot.in/
> >>
> >> On 12/20/2013 02:37 PM, Treutwein Bernhard wrote:
> >>> Xmas surprise: Project Oberon is approaching a new edition, see
> >>> http://www.inf.ethz.ch/personal/wirth/ProjectOberon/index.html
> >>> especially an update of the hardware FPGA instead of NS32000.
> >>> --
> >>>     Bernhard
> >>>
> >>> --
> >>> Oberon at lists.inf.ethz.ch mailing list for ETH Oberon and related
> >>> systems https://lists.inf.ethz.ch/mailman/listinfo/oberon
> >>>
> >> --
> >> Oberon at lists.inf.ethz.ch mailing list for ETH Oberon and related
> systems
> >> https://lists.inf.ethz.ch/mailman/listinfo/oberon
> >
> > --
> > Oberon at lists.inf.ethz.ch mailing list for ETH Oberon and related systems
> > https://lists.inf.ethz.ch/mailman/listinfo/oberon
> >
> >
>
>
> --
> Groetjes
>
> Jan Verhoeven
>
>
>
>
> ------------------------------
>
> Message: 6
> Date: Fri, 20 Dec 2013 15:59:54 +0400
> From: Alexey Veselovsky <alexey.veselovsky at gmail.com>
> Subject: Re: [Oberon] Project Oberon: New Edition
> To: ETH Oberon and related systems <oberon at lists.inf.ethz.ch>
> Message-ID:
>         <
> CAO2-bK_kYJc0UTJzocv3JbFddOy2Den4hJPwdJ7REVgB-eGNXw at mail.gmail.com>
> Content-Type: text/plain; charset="iso-8859-1"
>
> I think that Project Oberon rev 2013 not complete yet.
>
> For example Annex A1 and Annex A2 (language report and CPU
> description) still not published. Also there are no Verilog sources.
>
> RISC emulator module still written in Oberon rev 1990 (old CASE semantic
> detected).
>
> Whole system and compiler are written in strange language - it is not
> Oberon 1990 nor Oberon rev 2013 (LONGINT and other types that has
> disappeared in Oberon 07/13, but new semantic for CASE that not described
> even in Oberon rev 2013 report).
>
> Also there are some typos, for example in 17.2.4: "From a 15 MHz clock rate
> results a refresh rate of 53.5 frames/s" should be replaced to "From a 25
> MHz clock rate results a refresh rate of 53.5 frames/s".
>
> Also I can't found description for clk25 in XGA Verilog module (what
> difference from clk?).
>
> We discuss Project Oberon 2013 here (in Russian) :
> http://oberspace.dyndns.org/index.php/topic,597.0.html
>
> Thanks, Alexey.
>
>
> On Fri, Dec 20, 2013 at 2:48 PM, Douglas G. Danforth <
> danforth at greenwoodfarm.com> wrote:
>
> >  Bernhard,
> > I am reading the new edition.
> > So far I have found 1 typo.
> > Could you pass this on to Dr Wirth?
> >
> > "Significant changes, however, were
> > *necessry* mainly in the descriptions of device drivers for keyboard and
> > mouse."
> >
> > -Doug Danforth
> >
> >
> > On 12/20/2013 1:07 AM, Treutwein Bernhard wrote:
> >
> > Xmas surprise: Project Oberon is approaching a new edition, see
> http://www.inf.ethz.ch/personal/wirth/ProjectOberon/index.html
> > especially an update of the hardware FPGA instead of NS32000.
> > --
> >   Bernhard
> >
> > --Oberon at lists.inf.ethz.ch mailing list for ETH Oberon and related
> systemshttps://lists.inf.ethz.ch/mailman/listinfo/oberon
> >
> >
> >
> > --
> > Oberon at lists.inf.ethz.ch mailing list for ETH Oberon and related systems
> > https://lists.inf.ethz.ch/mailman/listinfo/oberon
> >
> >
> -------------- next part --------------
> An HTML attachment was scrubbed...
> URL:
> https://lists.inf.ethz.ch/pipermail/oberon/attachments/20131220/56178138/attachment-0001.html
>
> ------------------------------
>
> Message: 7
> Date: Sat, 21 Dec 2013 00:12:35 +1030
> From: "Chris Burrows" <chris at cfbsoftware.com>
> Subject: Re: [Oberon] Project Oberon: New Edition
> To: "'ETH Oberon and related systems'" <oberon at lists.inf.ethz.ch>
> Message-ID: <000601cefd89$5d211880$17634980$@cfbsoftware.com>
> Content-Type: text/plain;       charset="iso-8859-1"
>
> Yes - it is still a 'work in progress' but the Language Report, CPU
> description and Verilog sources are currently on the other pages of Wirth's
> website:
>
> http://www.inf.ethz.ch/personal/wirth/
>
> There is also an updated version of Compiler Construction book.
>
> LONGINT is just an alias for INTEGER and the complete CASE statement is on
> its way,
>
> A version of Astrobe that supports the FPGA compiler is well underway ...
>
> Regards,
> Chris
>
> Chris Burrows
> CFB Software
> http://www.astrobe.com
>
>
> From: Alexey Veselovsky [mailto:alexey.veselovsky at gmail.com]
> Sent: Friday, 20 December 2013 10:30 PM
> To: ETH Oberon and related systems
> Subject: Re: [Oberon] Project Oberon: New Edition
>
> I think that Project Oberon rev 2013 not complete yet.
>
> For example Annex A1 and Annex A2 (language report and CPU
> description)?still?not published. Also there are no Verilog sources.
>
>
>
> RISC emulator module still written in Oberon rev 1990 (old CASE semantic
> detected).
>
> Whole system and compiler are written in strange language - it is not
> Oberon
> 1990 nor Oberon rev 2013 (LONGINT and other types that has disappeared in
> Oberon 07/13, but new semantic for CASE that not described even in Oberon
> rev 2013 report).
>
> Also there are some typos, for example in?17.2.4: "From a 15 MHz clock rate
> results a refresh rate of 53.5 frames/s" should be replaced to "From a 25
> MHz clock rate results a refresh rate of 53.5 frames/s".
>
> Also I can't found description for?clk25 in XGA Verilog module (what
> difference from clk?).
>
> We discuss Project Oberon 2013 here (in Russian)
> :?http://oberspace.dyndns.org/index.php/topic,597.0.html
>
> Thanks, Alexey.
>
> --
> Oberon at lists.inf.ethz.ch mailing list for ETH Oberon and related systems
> https://lists.inf.ethz.ch/mailman/listinfo/oberon
>
>
>
>
>
> ------------------------------
>
> Message: 8
> Date: Fri, 20 Dec 2013 15:12:14 -0800
> From: Simon Forman <forman.simon at gmail.com>
> Subject: Re: [Oberon] Project Oberon: New Edition
> To: chris at cfbsoftware.com,      ETH Oberon and related systems
>         <oberon at lists.inf.ethz.ch>
> Message-ID:
>         <
> CAG08Ry+bbX0AqTYfqGX0JdXHKcrP2SG09gAHn_w7763c5W_Sog at mail.gmail.com>
> Content-Type: text/plain; charset=ISO-8859-1
>
> This is awesome. A great Christmas present.
>
> I don't have an FPGA dev board, so I started a crude attempt to host a
> virtual Oberon using Python. I'm working on a simulator for the RISC
> chip and a transliteration of the Oberon compiler into Python to
> generate binary code to bootstrap.
>
> https://github.com/PhoenixBureau/PythonOberon
>
> I hadn't noticed the verilog sources, so I'm using a Python hardware
> description language called MyHDL to model the RISC chip.  Now that I
> know about them-- Thank you! --I may switch to using them.
>
> I also started to try to make an Oberon-to-Python converter using
> something called a PEG parser, but I got impatient and switched to
> simply "rewriting" the compiler code in Python.  (I put "rewriting" in
> quotes because the two languages are so similar syntactically that
> much of the conversion is doable by search and replace and a few
> regular expressions.  The tricky bit is that Python and Oberon handle
> argument passing very differently.)
>
> If I can get a fully-Python-hosted virtual Oberon system working I'll
> be sure to mention it on this list. Cheers!
> ~Simon
>
>
> On 12/20/13, Chris Burrows <chris at cfbsoftware.com> wrote:
> > Yes - it is still a 'work in progress' but the Language Report, CPU
> > description and Verilog sources are currently on the other pages of
> Wirth's
> > website:
> >
> > http://www.inf.ethz.ch/personal/wirth/
> >
> > There is also an updated version of Compiler Construction book.
> >
> > LONGINT is just an alias for INTEGER and the complete CASE statement is
> on
> > its way,
> >
> > A version of Astrobe that supports the FPGA compiler is well underway ...
> >
> > Regards,
> > Chris
> >
> > Chris Burrows
> > CFB Software
> > http://www.astrobe.com
> >
> >
> > From: Alexey Veselovsky [mailto:alexey.veselovsky at gmail.com]
> > Sent: Friday, 20 December 2013 10:30 PM
> > To: ETH Oberon and related systems
> > Subject: Re: [Oberon] Project Oberon: New Edition
> >
> > I think that Project Oberon rev 2013 not complete yet.
> >
> > For example Annex A1 and Annex A2 (language report and CPU
> > description)?still?not published. Also there are no Verilog sources.
> >
> >
> >
> > RISC emulator module still written in Oberon rev 1990 (old CASE semantic
> > detected).
> >
> > Whole system and compiler are written in strange language - it is not
> > Oberon
> > 1990 nor Oberon rev 2013 (LONGINT and other types that has disappeared in
> > Oberon 07/13, but new semantic for CASE that not described even in Oberon
> > rev 2013 report).
> >
> > Also there are some typos, for example in?17.2.4: "From a 15 MHz clock
> rate
> > results a refresh rate of 53.5 frames/s" should be replaced to "From a 25
> > MHz clock rate results a refresh rate of 53.5 frames/s".
> >
> > Also I can't found description for?clk25 in XGA Verilog module (what
> > difference from clk?).
> >
> > We discuss Project Oberon 2013 here (in Russian)
> > :?http://oberspace.dyndns.org/index.php/topic,597.0.html
> >
> > Thanks, Alexey.
> >
> > --
> > Oberon at lists.inf.ethz.ch mailing list for ETH Oberon and related systems
> > https://lists.inf.ethz.ch/mailman/listinfo/oberon
> >
> >
> >
> > --
> > Oberon at lists.inf.ethz.ch mailing list for ETH Oberon and related systems
> > https://lists.inf.ethz.ch/mailman/listinfo/oberon
> >
>
>
> --
> http://twitter.com/SimonForman
> My blog: http://firequery.blogspot.com/
> Also my blog: http://calroc.blogspot.com/
>
>
>
> "The history of mankind for the last four centuries is rather like that of
> an imprisoned sleeper, stirring clumsily and uneasily while the prison that
> restrains and shelters him catches fire, not waking but incorporating the
> crackling and warmth of the fire with ancient and incongruous dreams, than
> like that of a man consciously awake to danger and opportunity."
> --H. P. Wells, "A Short History of the World"
>
>
>
> ------------------------------
>
> Message: 9
> Date: Fri, 20 Dec 2013 17:54:18 +0400
> From: Alexey Veselovsky <alexey.veselovsky at gmail.com>
> Subject: Re: [Oberon] Project Oberon: New Edition
> To: chris at cfbsoftware.com,      ETH Oberon and related systems
>         <oberon at lists.inf.ethz.ch>
> Message-ID:
>         <CAO2-bK9YQMckJ=_
> 3bW3avugVA7cL3_P+jZ_s6M5zSTgU1Atuuw at mail.gmail.com>
> Content-Type: text/plain; charset="iso-8859-1"
>
> Verilog files from here
> http://www.inf.ethz.ch/personal/wirth/FPGA-relatedWork/index.html is about
> earlier RISC version. New language report (
> http://www.inf.ethz.ch/personal/wirth/Oberon/Oberon07.Report.pdf) not
> about
> the same language as Project Oberon.
>
> Also there is no common Verilog project about how all modules are
> connected. So right we can't reproduce Ceres-4 (from Project Oberon 2013)
> on FPGA.
>
> PS. LONGREAL also just alias for REAL type in PO2013 compiler, also there
> are undocumented embedded procedures (LED procedure for example):
> https://github.com/ilovb/ProjectOberon2013/blob/master/ORBX.Mod#L389
>
> Thanks, Alexey
>
>
> On Fri, Dec 20, 2013 at 5:42 PM, Chris Burrows <chris at cfbsoftware.com
> >wrote:
>
> > Yes - it is still a 'work in progress' but the Language Report, CPU
> > description and Verilog sources are currently on the other pages of
> Wirth's
> > website:
> >
> > http://www.inf.ethz.ch/personal/wirth/
> >
> > There is also an updated version of Compiler Construction book.
> >
> > LONGINT is just an alias for INTEGER and the complete CASE statement is
> on
> > its way,
> >
> > A version of Astrobe that supports the FPGA compiler is well underway ...
> >
> > Regards,
> > Chris
> >
> > Chris Burrows
> > CFB Software
> > http://www.astrobe.com
> >
> >
> > From: Alexey Veselovsky [mailto:alexey.veselovsky at gmail.com]
> > Sent: Friday, 20 December 2013 10:30 PM
> > To: ETH Oberon and related systems
> > Subject: Re: [Oberon] Project Oberon: New Edition
> >
> > I think that Project Oberon rev 2013 not complete yet.
> >
> > For example Annex A1 and Annex A2 (language report and CPU
> > description) still not published. Also there are no Verilog sources.
> >
> >
> >
> > RISC emulator module still written in Oberon rev 1990 (old CASE semantic
> > detected).
> >
> > Whole system and compiler are written in strange language - it is not
> > Oberon
> > 1990 nor Oberon rev 2013 (LONGINT and other types that has disappeared in
> > Oberon 07/13, but new semantic for CASE that not described even in Oberon
> > rev 2013 report).
> >
> > Also there are some typos, for example in 17.2.4: "From a 15 MHz clock
> rate
> > results a refresh rate of 53.5 frames/s" should be replaced to "From a 25
> > MHz clock rate results a refresh rate of 53.5 frames/s".
> >
> > Also I can't found description for clk25 in XGA Verilog module (what
> > difference from clk?).
> >
> > We discuss Project Oberon 2013 here (in Russian)
> > : http://oberspace.dyndns.org/index.php/topic,597.0.html
> >
> > Thanks, Alexey.
> >
> > --
> > Oberon at lists.inf.ethz.ch mailing list for ETH Oberon and related systems
> > https://lists.inf.ethz.ch/mailman/listinfo/oberon
> >
> >
> >
> > --
> > Oberon at lists.inf.ethz.ch mailing list for ETH Oberon and related systems
> > https://lists.inf.ethz.ch/mailman/listinfo/oberon
> >
> -------------- next part --------------
> An HTML attachment was scrubbed...
> URL:
> https://lists.inf.ethz.ch/pipermail/oberon/attachments/20131220/a2569a19/attachment-0001.html
>
> ------------------------------
>
> Message: 10
> Date: Sat, 21 Dec 2013 12:21:53 +0200
> From: Luca Boasso <luke.boasso at gmail.com>
> Subject: Re: [Oberon] Oberon Digest, Vol 115, Issue 15
> To: ETH Oberon and related systems <oberon at lists.inf.ethz.ch>
> Message-ID:
>         <
> CAMKo1gGTnk6rGf0n5Wb4NnygKaz-iE32ogo08YJO_7Z8HH9ewA at mail.gmail.com>
> Content-Type: text/plain; charset=ISO-8859-1
>
> This is a really nice Christmas present indeed!
> I'm planning to buy a Spartan 3 starter kit to try it out.
> Does anybody know which model work best?
> There are several model available (Spartan-3AN, Spartan-3E, ...)
> http://www.xilinx.com/products/boards_kits/spartan.htm
>
> I was reading chapter "14 Building and maintenance tools" to
> understand how to boot and configure the FPGA.
> It looks like the verilog source files(RISCTop.v, RISC.v,
> Multiplier.v, Divider.v, FPA
> dder.v. FP.Multiplier.v, FP.Divider.v, dbram32.v, RS232R.v, RS232T.v,
> SPI.v, XGS.v, PS2.v, RISC.ucf )
> are missing from the website, and also the Oberon0 module.
> Do you know where I can find those files?
>
> Thank you
> Luca
>
> On Fri, Dec 20, 2013 at 1:00 PM,  <oberon-request at lists.inf.ethz.ch>
> wrote:
> > Send Oberon mailing list submissions to
> >         oberon at lists.inf.ethz.ch
> >
> > To subscribe or unsubscribe via the World Wide Web, visit
> >         https://lists.inf.ethz.ch/mailman/listinfo/oberon
> > or, via email, send a message with subject or body 'help' to
> >         oberon-request at lists.inf.ethz.ch
> >
> > You can reach the person managing the list at
> >         oberon-owner at lists.inf.ethz.ch
> >
> > When replying, please edit your Subject line so it is more specific
> > than "Re: Contents of Oberon digest..."
> >
> >
> > Today's Topics:
> >
> >    1. Project Oberon: New Edition (Treutwein Bernhard)
> >
> >
> > ----------------------------------------------------------------------
> >
> > Message: 1
> > Date: Fri, 20 Dec 2013 09:07:14 +0000
> > From: Treutwein Bernhard
> >         <Bernhard.Treutwein at Verwaltung.Uni-Muenchen.DE>
> > Subject: [Oberon] Project Oberon: New Edition
> > To: "'oberon at lists.inf.ethz.ch'" <oberon at lists.inf.ethz.ch>
> > Message-ID:
> >         <
> 78A8BD6765DCF048A628A51C3FBD1D761887788C at MXS2.zuv.uni-muenchen.de>
> > Content-Type: text/plain; charset="us-ascii"
> >
> > Xmas surprise: Project Oberon is approaching a new edition, see
> http://www.inf.ethz.ch/personal/wirth/ProjectOberon/index.html
> > especially an update of the hardware FPGA instead of NS32000.
> > --
> >   Bernhard
> >
> >
> >
> > ------------------------------
> >
> > --
> > Oberon at lists.inf.ethz.ch mailing list for ETH Oberon and related systems
> > https://lists.inf.ethz.ch/mailman/listinfo/oberon
> >
> >
> > End of Oberon Digest, Vol 115, Issue 15
> > ***************************************
>
>
>
> ------------------------------
>
> --
> Oberon at lists.inf.ethz.ch mailing list for ETH Oberon and related systems
> https://lists.inf.ethz.ch/mailman/listinfo/oberon
>
>
> End of Oberon Digest, Vol 115, Issue 16
> ***************************************
>
-------------- next part --------------
An HTML attachment was scrubbed...
URL: https://lists.inf.ethz.ch/pipermail/oberon/attachments/20131221/91032fb2/attachment.html 


More information about the Oberon mailing list