[Oberon] FPGA Oberon - Bootloader - SD card file format

eas lab lab.eas at gmail.com
Mon Jan 20 21:40:41 CET 2014


]- bootlaoder:
] i am just trying to build up the FPGA Oberon system, shown in Prof.
] Wirths latest Oberon paper (my Ariel project..).
Paste the URL.

] The Spartan 3 board + ISE etc. is running so far.
] When runny ISE i have seen that the file
]
] prom.mem
]
] is missing (located in Prom.v searching for ../prom.mem) .
] As i understood the Verilog code so far, ist seems to be the bootloader
] code.
]
] - SD card format
] its not clear in which format / file system .. the Oberon files should
] be stored onto the SD card, is there any file format description?
Probably the same file-format as what you've got so far.

] - the right platform for this topic?
]
] Is this mailing list the right place for this kond of questions?
] or better comp.lang.oberon, or any web forum (i don't like them..)

Yes, provide a log of 3-step-cycles, of what you're doing, like:-
=to see the contents of file: /tmp/Fl1
-> dd if=/tmp/Fl1 count=88
=> <the result of above command>

Of course your syntax will be different.

== Chris Glur.



More information about the Oberon mailing list