[Oberon] FPGA Oberon prom.mem / SD-card formating

greim greim at schleibinger.com
Tue Jan 21 13:48:25 CET 2014


Hi Chris Glur
Hi Chris Burrows,

> From: eas lab <lab.eas at gmail.com>
> Subject: Re: [Oberon] FPGA Oberon - Bootloader - SD card file format
> To: greim at schleibinger.com, 	ETH Oberon and related systems
> 	<oberon at lists.inf.ethz.ch>
> Message-ID:
> 	<CAN3-DLEodh-_rfjnCqBsYYz6jDga+fv1REQRiYj+sXXgsGfjZg at mail.gmail.com>
> Content-Type: text/plain; charset=ISO-8859-1
>
> ]- bootlaoder:
> ] i am just trying to build up the FPGA Oberon system, shown in Prof.
> ] Wirths latest Oberon paper (my Ariel project..).
> Paste the URL.

which URL, the URL of my project? There isn't any yet.
But if somebody is interested in, i will publish a Wiki.

Thats the file with the missing link:
<http://www.inf.ethz.ch/personal/wirth/ProjectOberon/SourcesVerilog/PROM.v>
------------------------------------------------------------------------
`timescale 1ns / 1ps // 32-bit PROM initialised from hex file  PDR 23.12.13

module PROM (input clk,
   input [8:0] adr,
   output reg [31:0] data);

reg [31:0] mem [511: 0];
initial $readmemh("../prom.mem", mem);
                    ^^^^^^^^^^^^^^^^^


always @(posedge clk) data <= mem[adr];

endmodule
----------------------------------------------------------

you may see a requested prom.mem
that i can't find

>
> The file format is described in 'The file System' - Chapter 7 of the Dec
> 2013 Revision of Project Oberon. The bootloader is decribed in Chapter 14.
> The source code of the SD card drivers is in Kernel.mod

i have noticed that the bootloader mechanism is described shortly at
chapter 14 page 12 (see above) but the code for the bootloader rom is 
missing.

I have^also seen the description of the file system format now, thanks.
But in practice i have to write a SD card without the FPGA Oberon 
running yet. (The chicken - egg problem ;-)  )
My idea is to run an old native Oberon on a PC with floppy disk and then 
copy this floppy physically to the SD card.
Has the file format ever changed?
Any other/better idea?

Regards

Markus Greim

P.S. I have seen that Prof. Wirth will present his FPGA Oberon system on 
his 80. birthday conference. Unfortunately the conference is overbooked :-(
See http://wirth-symposium.ethz.ch/
I have noticed it too late, otherwise i would be there!


>
> Regards,
> Chris
>
> Chris Burrows
> CFB Software
> Astrobe: Oberon for ARM and Cortex-M3
> http://www.astrobe.com
>
>
>
>
> ------------------------------
>
> --
> Oberon at lists.inf.ethz.ch mailing list for ETH Oberon and related systems
> https://lists.inf.ethz.ch/mailman/listinfo/oberon
>
>
> End of Oberon Digest, Vol 116, Issue 10
> ***************************************
>




More information about the Oberon mailing list