[Oberon] FPGA Oberon

greim greim at schleibinger.com
Wed Feb 5 14:56:34 CET 2014


Hi,

Professor Wirth,

has updated his project homepage a lot:

http://www.inf.ethz.ch/personal/wirth/ProjectOberon/index.html

now, beneath many others also a prom.mem
generator is available, its called  BootProm.Mod (see my message from 
20.01.2014).

What Oberon-7 system for the jobs on the PC side do you recommend?

I have found some compilers on Russian web-sites.

This one (beside the Russian menu in the Editor)
https://sites.google.com/site/oberon07compiler/versii
seems for me the most actual / stable one.

Is this compiler compatible with the code on NWs web site?
Any further hints?

Markus Greim



More information about the Oberon mailing list