[Oberon] FPGA Oberon - Reset Button

Paul Reed paulreed at paddedcell.com
Wed Mar 22 20:43:33 CET 2017


Hi Tomas,

> I wish to install reset switch, which pin header / button is the reset?
...
> Connector J8
>
> 5V GND GPIO0 GPIO1 GPIO2 GPIO3 GPIO4 GPIO5 GPIO6 GPIO7
>
> 3.3V GND BTN0 BTN1 BTN2 BTN3 N/C N/C N/C N/C

On the Spartan 3 board btn3 is marked "User Reset" but since you have (I
believe) a Pepino you wouldn't necessarily know that. :)

The relevant line in Prof. Wirth's Verilog is in RISC5Top.v, near the bottom:

...
always @(posedge clk)
begin
  rst <= ((cnt1[4:0] == 0) & limit) ? ~btn[3] : rst;
...

Note that this reset merely aborts the current Oberon command and returns
to the main loop, like the Interrupt key on the Ceres.

There isn't an I/O signal which does a cold reset of the Oberon system in
the way a PC reset button works, since the Spartan 3 board has a
pushbutton called "Prog" which reloads the FPGA configuration (forcing a
complete cold start of the hardware).

>From the Pepino schematic it looks like Magnus has the Spartan 6 FPGA PROG
pin just pulled high (signal PROGRAM_B) by resistor R32.  You could try
grounding the other side of the resistor to get the same effect as the
PROG button - but take care not to destroy your board obviously!

There is a pushbutton on the Pepino, marked "BUTTON" on the schematic, but
you'd have to change the Verilog and reprogram the board if you wanted to
use that as btn3.

HTH
Paul




More information about the Oberon mailing list