[Oberon] Oberon Digest, Vol 115, Issue 15

Luca Boasso luke.boasso at gmail.com
Sat Dec 21 11:21:53 CET 2013


This is a really nice Christmas present indeed!
I'm planning to buy a Spartan 3 starter kit to try it out.
Does anybody know which model work best?
There are several model available (Spartan-3AN, Spartan-3E, ...)
http://www.xilinx.com/products/boards_kits/spartan.htm

I was reading chapter "14 Building and maintenance tools" to
understand how to boot and configure the FPGA.
It looks like the verilog source files(RISCTop.v, RISC.v,
Multiplier.v, Divider.v, FPA
dder.v. FP.Multiplier.v, FP.Divider.v, dbram32.v, RS232R.v, RS232T.v,
SPI.v, XGS.v, PS2.v, RISC.ucf )
are missing from the website, and also the Oberon0 module.
Do you know where I can find those files?

Thank you
Luca

On Fri, Dec 20, 2013 at 1:00 PM,  <oberon-request at lists.inf.ethz.ch> wrote:
> Send Oberon mailing list submissions to
>         oberon at lists.inf.ethz.ch
>
> To subscribe or unsubscribe via the World Wide Web, visit
>         https://lists.inf.ethz.ch/mailman/listinfo/oberon
> or, via email, send a message with subject or body 'help' to
>         oberon-request at lists.inf.ethz.ch
>
> You can reach the person managing the list at
>         oberon-owner at lists.inf.ethz.ch
>
> When replying, please edit your Subject line so it is more specific
> than "Re: Contents of Oberon digest..."
>
>
> Today's Topics:
>
>    1. Project Oberon: New Edition (Treutwein Bernhard)
>
>
> ----------------------------------------------------------------------
>
> Message: 1
> Date: Fri, 20 Dec 2013 09:07:14 +0000
> From: Treutwein Bernhard
>         <Bernhard.Treutwein at Verwaltung.Uni-Muenchen.DE>
> Subject: [Oberon] Project Oberon: New Edition
> To: "'oberon at lists.inf.ethz.ch'" <oberon at lists.inf.ethz.ch>
> Message-ID:
>         <78A8BD6765DCF048A628A51C3FBD1D761887788C at MXS2.zuv.uni-muenchen.de>
> Content-Type: text/plain; charset="us-ascii"
>
> Xmas surprise: Project Oberon is approaching a new edition, see http://www.inf.ethz.ch/personal/wirth/ProjectOberon/index.html
> especially an update of the hardware FPGA instead of NS32000.
> --
>   Bernhard
>
>
>
> ------------------------------
>
> --
> Oberon at lists.inf.ethz.ch mailing list for ETH Oberon and related systems
> https://lists.inf.ethz.ch/mailman/listinfo/oberon
>
>
> End of Oberon Digest, Vol 115, Issue 15
> ***************************************



More information about the Oberon mailing list