[Oberon] FPGA Oberon - Bootloader - SD card file format

greim greim at schleibinger.com
Sun Jan 19 20:13:21 CET 2014


Hi,

- bootlaoder:
i am just trying to build up the FPGA Oberon system, shown in Prof. 
Wirths latest Oberon paper (my Ariel project..).

The Spartan 3 board + ISE etc. is running so far.
When runny ISE i have seen that the file

prom.mem

is missing (located in Prom.v searching for ../prom.mem) .
As i understood the Verilog code so far, ist seems to be the bootloader 
code.

- SD card format
its not clear in which format / file system .. the Oberon files should 
be stored onto the SD card, is there any file format description?

- the right platform for this topic?

Is this mailing list the right place for this kond of questions?
or better comp.lang.oberon, or any web forum (i don't like them..)


Best Regards

Markus Greim



More information about the Oberon mailing list