[Oberon] FPGA Oberon prom.mem / SD-card formating

eas lab lab.eas at gmail.com
Sun Jan 26 23:54:43 CET 2014


 > ]- bootlaoder:
 > ] i am just trying to build up the FPGA Oberon system, shown in Prof.
 > ] Wirths latest Oberon paper (my Ariel project..).
 > Paste the URL.

 which URL, the URL of my project? There isn't any yet.
 But if somebody is interested in, i will publish a Wiki.
Griem wrote the above:----------------------

Yes, put your project to inet; then others can follow, who want to.
Mail-list is too fragmented for a whole project.

== Chris Glur.

NB. Oberon is NOT TUI/ETHOberon.



More information about the Oberon mailing list