[Oberon] FPGA Oberon - Reset Button

thomas.kral at email.cz thomas.kral at email.cz
Wed Mar 22 19:55:30 CET 2017


Hi,



I wish to install reset switch, which pin header / button is the reset?




Perhaps, I should be somehow able to read from Verilog source, but I cannot 
so far.

My board has these also mapped to Oberon.





Connector J8

5V GND GPIO0 GPIO1 GPIO2 GPIO3 GPIO4 GPIO5 GPIO6 GPIO7

3.3V GND BTN0 BTN1 BTN2 BTN3 N/C N/C N/C N/C








Tomas
-------------- next part --------------
An HTML attachment was scrubbed...
URL: <http://lists.inf.ethz.ch/pipermail/oberon/attachments/20170322/bfddf7d4/attachment-0001.html>


More information about the Oberon mailing list