[Oberon] Updated RISC5 firmware

Walter Gallegos waltergallegos at vera.com.uy
Thu Oct 25 13:18:18 CEST 2018


Apparently, some new versions of RISC5 are converging into my vision of 
a soft processor for FPGA. RiscCore: no floating point, does not use DSP 
blocks, has interrupts, data bus and code bus.

El 25/10/18 a las 03:05, Skulski, Wojciech escribió:
> Jörg
>
>> Indeed, version control is not one of NWs strengths :-)
>> I lately had the idea to implement „diff" and „patch“ in Oberon.
> Version control will not provide insight into the intent of the writer. For example, I am curious what is the difference between RISC5.v dated 31.8.2018 and RISC5a.v dated 1.9.2018. Both can be fetched from the same page www.inf.ethz.ch/personal/wirth/ProjectOberon/index.html.
>
>   Fortunately, there are some comments in that code:
>
>   RISC5.v dated 31.8.2018 //with interrupt and floating-point
>   RISC5a.v dated 1.9.2018 //no interrupt, no floating-point
>
> The former is accompanied by Multiplier.v dated 14.9.2015.
> The latter is accompanied by  Multiplier1.v dated 29.4.2011
>
> So here I have a question: why two multipliers, and why their codes are different? Would be good to see a comment on the website. But there is no comment. Just a horizontal line.
>
> Even more puzzling is that RISC5.v is accompanied by MouseP.v, while RISC5a.v is accompanied by MouseX.v, which are again quite different. Another puzzle.
>
> The term "accompanied by" is my own interpretation of the horizontal lines dividing this web page. It would be good to know why the Verilog modules have been grouped this particular way. Is it true that  MouseP.v needs floating point, while MouseX.v does not? Or is it an accident that they have been parted by a horizontal line?
>
> So the patch and the diff are not the only tools which we would need. Comments would be even more useful.
>
> How can I force my students to comment their source code when they can see this situation?
>
> W
>
>
>
>
>
>
>
> --
> Oberon at lists.inf.ethz.ch mailing list for ETH Oberon and related systems
> https://lists.inf.ethz.ch/mailman/listinfo/oberon
>
-- 
Walter Daniel Gallegos
Programmable Logic
Consultorí­a, Diseño, Entrenamiento.
walter at waltergallegos.com | www.waltergallegos.com
Tel +598 26 23 44 60 | Cel +598 99 18 58 88
Montevideo, Uruguay

El presente correo y cualquier posible archivo adjunto está dirigido únicamente
al destinatario del mensaje y contiene información que puede ser confidencial.
Si Ud. no es el destinatario correcto por favor notifique al remitente
respondiendo anexando este mensaje y elimine inmediatamente el e-mail y los
posibles archivos adjuntos al mismo de su sistema. Está prohibida cualquier
utilización, difusión o copia de este e-mail por cualquier persona o entidad
que no sean las especí­ficas destinatarias del mensaje.

This e-mail and any attachment is confidential and is intended solely for the
addressee(s). If you are not intended recipient please inform the sender
immediately, answering this e-mail and delete it as well as the attached files.
Any use, circulation or copy of this e-mail by any person or entity that is not
the specific addressee(s) is prohibited.



More information about the Oberon mailing list