[Oberon] Importing more than 15 modules

Andreas Pirklbauer andreas_pirklbauer at yahoo.com
Thu Sep 26 22:34:12 CEST 2019


Has anyone by any chance adapted the FPGA Oberon system to use a *separate* fixup list for each imported module (similar to how it is done in A2) rather than using a single fixup list for all imported modules (as is currently the case in FPGA Oberon e.g. for the list headed by fixorgP)?

I.e. has anyone played with implementing the list structure shown in Figure 15.8.(b) on p.97 of the book

     https://inf.ethz.ch/personal/wirth/CompilerConstruction/CompilerConstruction2.pdf   ?

This would allow one to lift the restriction of putting only 4 bits in place for a module number (mno) in a BL instruction generated for an external procedure call, which in turn would mean that one could import more than 15 modules in FPGA Oberon.







More information about the Oberon mailing list